主要gydF4y2Ba

自从费曼提出用量子力学计算gydF4y2Ba3.gydF4y2Ba在美国,出现了许多潜在的应用,包括保理gydF4y2Ba4gydF4y2Ba、优化gydF4y2Ba5gydF4y2Ba,机器学习gydF4y2Ba6gydF4y2Ba,量子模拟gydF4y2Ba7gydF4y2Ba量子化学gydF4y2Ba8gydF4y2Ba.这些应用程序通常需要数十亿次量子运算gydF4y2Ba9gydF4y2Ba,gydF4y2Ba10gydF4y2Ba,gydF4y2Ba11gydF4y2Ba最先进的量子处理器的错误率通常在10左右gydF4y2Ba−3gydF4y2Ba每门gydF4y2Ba12gydF4y2Ba,gydF4y2Ba13gydF4y2Ba,gydF4y2Ba14gydF4y2Ba,gydF4y2Ba15gydF4y2Ba,gydF4y2Ba16gydF4y2Ba,gydF4y2Ba17gydF4y2Ba这对于执行如此大的电路来说太高了。幸运的是,量子纠错可以以时间和量子位开销为代价,以指数方式抑制量子处理器中的操作错误率gydF4y2Ba18gydF4y2Ba,gydF4y2Ba19gydF4y2Ba.gydF4y2Ba

一些研究报告了能够纠正单个错误的代码的量子纠错,包括距离-3培根-肖尔gydF4y2Ba20.gydF4y2Ba、色彩gydF4y2Ba21gydF4y2Ba, five-qubitgydF4y2Ba22gydF4y2Ba, heavy-hexagongydF4y2Ba23gydF4y2Ba和表面gydF4y2Ba24gydF4y2Ba,gydF4y2Ba25gydF4y2Ba代码,以及连续变量代码gydF4y2Ba26gydF4y2Ba,gydF4y2Ba27gydF4y2Ba,gydF4y2Ba28gydF4y2Ba,gydF4y2Ba29gydF4y2Ba.然而,一个关键的问题仍然存在,即扩大纠错代码的大小是否会降低实际设备中的逻辑错误率。理论上,如果量子处理器中的物理错误足够稀疏,逻辑错误应该会减少。在实践中,演示减少逻辑错误需要扩展设备,以支持可以纠正至少两个错误的代码,而不牺牲最先进的性能。在这项工作中,我们报告了一个72量子比特超导器件,支持49量子比特距离-5 (gydF4y2BadgydF4y2Ba= 5)表面代码,以微弱优势优于其平均子集17-量子比特距离-3表面代码,向可扩展量子纠错迈出了关键一步。gydF4y2Ba

具有超导量子比特的表面代码gydF4y2Ba

面代码gydF4y2Ba30.gydF4y2Ba,gydF4y2Ba31gydF4y2Ba,gydF4y2Ba32gydF4y2Ba,gydF4y2Ba33gydF4y2Ba,gydF4y2Ba34gydF4y2Ba一组量子纠错码是将逻辑量子位编码为量子的联合纠缠态的吗gydF4y2BadgydF4y2Ba×gydF4y2BadgydF4y2Ba物理量子位的平方,称为数据量子位。逻辑量子位态由一对反交换的逻辑观察值定义gydF4y2BaXgydF4y2BalgydF4y2Ba而且gydF4y2BaZgydF4y2BalgydF4y2Ba.对于图中所示的示例。gydF4y2Ba1gydF4y2Ba,一个gydF4y2BaZgydF4y2BalgydF4y2BaObservable被编码在关节中gydF4y2BaZgydF4y2Ba从上到下遍历晶格的量子位的一行的-基宇称,同样是一个gydF4y2BaXgydF4y2BalgydF4y2BaObservable被编码在关节中gydF4y2BaXgydF4y2Ba-基校验从左到右遍历。这种信息的非局部编码保护逻辑量子位不受局部物理错误的影响,前提是我们能够检测并纠正它们。gydF4y2Ba

图1:实现表面编码逻辑量子位。gydF4y2Ba
图1gydF4y2Ba

一个gydF4y2Ba, 72量子位Sycamore设备的原理图,其中嵌入了距离-5表面代码,由25个数据量子位(金色)和24个测量量子位(蓝色)组成。每个测量量子位都与一个稳定器相关联(蓝色瓦,黑色:gydF4y2BaXgydF4y2Ba光:gydF4y2BaZgydF4y2Ba).代表性逻辑运算符gydF4y2BaZgydF4y2BalgydF4y2Ba(黑色)和gydF4y2BaXgydF4y2BalgydF4y2Ba(绿色)遍历数组,相交于左下角的数据量子位。右上象限(红色轮廓)是我们与distance-5比较的四个子集distance-3代码(四个象限)之一。gydF4y2BabgydF4y2Ba,稳定器测量的说明,集中在一个数据量子位(标记为ψ)和一个测量量子位(标记为0),随着时间向右推移的透视视图。每个量子位参与四个CZ门(黑色)与它的四个最近的邻居,穿插阿达玛门(H),最后,测量量子位被测量并重置为gydF4y2Ba\(\ \)范围内左右| 0 \ \捕杀gydF4y2Ba(先生)。数据量子位在等待测量和复位时执行动态解耦。所有稳定剂都以这种方式同时测量。周期持续时间为921 ns,包括25 ns单量子比特门,34 ns双量子比特门,500 ns测量和160 ns复位(见gydF4y2Ba补充信息gydF4y2Ba编译细节)。读取和重置占用了大部分的周期时间,所以并发数据量子比特空转是一个主要的错误来源。gydF4y2BacgydF4y2Ba,单量子比特门(1Q)、CZ门、测量(Meas.)和数据量子比特动态解耦(测量和复位期间空闲)的累积误差分布,我们称之为分量误差。使用随机电路技术,在距离-5中使用的49个量子比特和来自稳定电路的4个CZ层上,对电路同时运行进行基准测试gydF4y2Ba38gydF4y2Ba,gydF4y2Ba59gydF4y2Ba(见gydF4y2Ba补充信息gydF4y2Ba).垂直线表示均值。gydF4y2Ba

为了检测错误,我们定期进行测量gydF4y2BaXgydF4y2Ba而且gydF4y2BaZgydF4y2Ba的辅助下,相邻数据量子位簇的奇偶gydF4y2BadgydF4y2Ba2gydF4y2Ba−1个测量量子位散布在晶格中。如图所示。gydF4y2Ba1 bgydF4y2Ba,每个测量量子位与其相邻的数据量子位相互作用,将联合数据量子位奇偶性映射到测量量子位状态,然后测量量子位状态。每个奇偶校验测量或稳定器,都与编码的量子位的逻辑观测值以及每个其他稳定器交换。因此,我们可以在奇偶校验测量意外变化时检测错误,而不会干扰逻辑量子位状态。gydF4y2Ba

解码器使用稳定器测量结果的历史记录来推断设备上物理错误的可能配置。然后,我们可以确定这些推断误差对逻辑量子位的整体影响,从而保留逻辑状态。大多数表面代码逻辑门可以通过维护逻辑内存和在代码边界上执行不同的测量序列来实现gydF4y2Ba35gydF4y2Ba,gydF4y2Ba36gydF4y2Ba,gydF4y2Ba37gydF4y2Ba.因此,我们专注于保存逻辑内存,这是操作表层代码的核心技术挑战。gydF4y2Ba

我们在一个扩展的Sycamore设备上实现了表面代码gydF4y2Ba38gydF4y2Ba72个透射子量子比特gydF4y2Ba39gydF4y2Ba和121个可调谐耦合器gydF4y2Ba40gydF4y2Ba,gydF4y2Ba41gydF4y2Ba.每个量子比特与四个最近的邻居(边界除外)耦合,具有平均量子比特相干时间gydF4y2BaTgydF4y2Ba1gydF4y2Ba= 20 μs和gydF4y2BaTgydF4y2Ba2, CPMGgydF4y2Ba= 30 μs,其中CPMG代表Carr-Purcell-Meiboom-Gill。如ref。gydF4y2Ba42gydF4y2Ba,我们实现单量子比特旋转,控制-gydF4y2BaZgydF4y2Ba(CZ)门,复位和测量,显示出类似或改进的同时性能如图所示。gydF4y2Ba1 cgydF4y2Ba.gydF4y2Ba

距离-5表面编码逻辑量子位在设备的49量子位子集上编码,其中包含25个数据量子位和24个测量量子位。每个量子位对应一个稳定器,按其基(gydF4y2BaXgydF4y2Ba或gydF4y2BaZgydF4y2Ba)和涉及的数据量子位的数量(权重,2或4)。理想情况下,为了评估逻辑性能如何随代码大小而变化,我们将在相同的噪声下比较距离-5和距离-3的逻辑量子位。尽管设备不均匀性使这种比较变得困难,但我们可以将距离-5逻辑量子比特与四个距离-3逻辑量子比特子网格的平均值进行比较,每个子网格包含9个数据量子比特和8个测量量子比特。这些距离-3逻辑量子位以最小的量子位重叠覆盖距离-5代码的四个象限,捕获整个距离-5网格的平均性能。gydF4y2Ba

在实验的单个实例中,我们初始化逻辑量子比特状态,运行几个纠错周期,然后测量最终的逻辑状态。我们在图中给出了一个例子。gydF4y2Ba2gydF4y2Ba.准备一份gydF4y2BaZgydF4y2BalgydF4y2Ba特征态,我们首先准备每个数据量子比特gydF4y2Ba\(\ \)范围内左右| 0 \ \捕杀gydF4y2Ba或gydF4y2Ba\(\ \)范围内左右| 1 \ \捕杀gydF4y2Ba的特征态gydF4y2BaZgydF4y2Ba稳定剂。稳定器测量的第一个周期然后将数据量子位投射到纠缠态,该纠缠态也是光子的本征态gydF4y2BaXgydF4y2Ba稳定剂。每个循环包含CZ和阿达玛门序列提取gydF4y2BaXgydF4y2Ba而且gydF4y2BaZgydF4y2Ba稳定器同时进行,并以测量量子位的测量和复位结束。在最后一个循环中,我们还测量了量子比特中的数据gydF4y2BaZgydF4y2Ba基,产生奇偶校验信息和逻辑状态的测量。准备和测量gydF4y2BaXgydF4y2BalgydF4y2Ba特征态的过程类似。如果修正的逻辑测量与已知的初始状态一致,则实例成功;否则,逻辑错误发生。gydF4y2Ba

图2:表面代码中的错误检测。gydF4y2Ba
图2gydF4y2Ba

一个gydF4y2Ba,一个表面代码实验的插图,在透视视图中,时间向右推移。我们从一个初始数据量子比特状态开始,它在一个稳定基上有已知的奇偶(这里,gydF4y2BaZgydF4y2Ba).我们展示了在检测对中显示的错误示例gydF4y2BaZgydF4y2Ba数据量子位(类空间对)上的误差(红色),测量量子位(类时间对)上的测量误差(紫色)gydF4y2BaXgydF4y2BaCZ门(类时空对)期间的误差(蓝色)和数据量子位上的测量误差(绿色)(在最终推断中检测到gydF4y2BaZgydF4y2Ba平价)。gydF4y2BabgydF4y2Ba,每个稳定剂在25个周期距离-5次实验(50,000次重复)中的检测概率。深色线条:相同重量的所有稳定器的平均值。在时间步上有更少的检测gydF4y2BatgydF4y2Ba= 0,因为没有先前的综合征提取gydF4y2BatgydF4y2Ba= 25,因为最终的奇偶率是直接从数据量子位测量中计算出来的。QEC,量子纠错。gydF4y2BacgydF4y2Ba,检测概率热图,平均完毕gydF4y2BatgydF4y2Ba= 1 ~ 24。gydF4y2BadgydF4y2Ba,gydF4y2BaegydF4y2Ba,类似于gydF4y2BabgydF4y2Ba,gydF4y2BacgydF4y2Ba四个独立的distance-3实验涵盖了distance-5代码的四个象限。gydF4y2BafgydF4y2Ba,gydF4y2BaggydF4y2Ba,类似于gydF4y2BabgydF4y2Ba,gydF4y2BacgydF4y2Ba使用带有泡利误差、泄漏、串扰和杂散相互作用(泡利+)的模拟。gydF4y2BahgydF4y2Ba,柱状图汇总检测相关矩阵gydF4y2BapgydF4y2Ba我gydF4y2BajgydF4y2Ba,比较距离-5实验从gydF4y2BabgydF4y2Ba在模拟中gydF4y2BafgydF4y2Ba(Pauli+)和一个只有Pauli误差的更简单的模拟。我们汇总了四组相关性:类时间对;spacelike双;泡利噪声的类时空对期望;以及泡利噪声意想不到的时空对(Unexp.),包括两个时间步的相关性。每个柱状图显示了来自25个周期、50,000次重复数据集的相关性的平均值和标准差。gydF4y2Ba

我们的稳定器电路包含对上面描述的标准门序列的一些修改gydF4y2Ba补充信息gydF4y2Ba),包括相位校正,以纠正意外的量子位频移和在量子位空转期间的动态去耦门gydF4y2Ba43gydF4y2Ba.我们还删除了某些Hadamard门来实现gydF4y2BaZXXZgydF4y2Ba表面代码的变体gydF4y2Ba44gydF4y2Ba,gydF4y2Ba45gydF4y2Ba,这有助于使gydF4y2BaXgydF4y2Ba- - -gydF4y2BaZgydF4y2Ba-basis逻辑错误率。最后,在初始化过程中,数据量子位被准备成随机选择的位串。这确保了我们在代码的前几个周期中不会优先测量偶数,这可能会人为地降低由于测量误差偏差而导致的逻辑错误率(参见gydF4y2Ba补充信息gydF4y2Ba).gydF4y2Ba

误差检测器gydF4y2Ba

在初始化之后,奇偶校验测量应该在每个周期中产生相同的值,直到电路应用的已知翻转。如果我们将一个奇偶校验值与前一个周期中的相应测量值进行比较,并且它们的值不一致,则发生了检测事件,表明出现了错误。我们把这些比较称为检测器。gydF4y2Ba

每个探测器的探测事件概率表示运行表面代码时物理误差在空间和时间上的分布。在无花果。gydF4y2Ba2gydF4y2Ba,我们在distance-5码中显示检测事件概率(图。gydF4y2Ba2 b, cgydF4y2Ba)和距离-3代码(图。gydF4y2Ba2 d, egydF4y2Ba)运行25个循环,测量超过50,000个实验实例。对于重量-4稳定剂,平均检测概率为0.185±0.018 (1gydF4y2BaσgydF4y2Ba距离-5码平均为0.175±0.017,距离-3码平均为0.175±0.017。权重-2稳定器与更少的量子位相互作用,因此检测到更少的错误。相应地,距离-5编码的平均检测概率为0.119±0.012,距离-3编码的平均检测概率为0.115±0.008。编码距离之间的相对一致性表明,在错误校正期间,增加晶格并不会大幅增加组件错误率。gydF4y2Ba

在25个周期内,距离-5的平均检测概率相对上升12%,距离-3的平均检测概率相对上升8%,典型的特征上升时间大约为5个周期(参见gydF4y2Ba补充信息gydF4y2Ba).我们将这种增长归因于数据量子位泄漏到非计算激发态,并预计在数据量子位上包含泄漏消除技术将有助于缓解这种增长gydF4y2Ba42gydF4y2Ba,gydF4y2Ba46gydF4y2Ba,gydF4y2Ba47gydF4y2Ba,gydF4y2Ba48gydF4y2Ba.我们推断,距离-5码中检测概率的更大增加是由于同时操作更多门和测量增加了杂散相互作用或泄漏。gydF4y2Ba

我们通过将实验数据与模拟数据进行比较来测试我们对系统中物理噪声的理解。我们从图中基于分量误差信息的去极化噪声模拟开始。gydF4y2Ba1 cgydF4y2Ba,然后扩展到特定于量子位的泡利模拟gydF4y2BaTgydF4y2Ba1gydF4y2Ba而且gydF4y2BaTgydF4y2Ba2, CPMGgydF4y2Ba在CZ门期间,量子位之间的杂散相互作用(参见gydF4y2Ba补充信息gydF4y2Ba).我们把这种模拟称为泡利+。数字gydF4y2Ba2 fgydF4y2Ba结果表明,该模拟器准确预测了平均检测概率,weight-4稳定器为0.180±0.013,weight-2稳定器为0.116±0.011,平均检测概率在25个周期(距离-5)内增加了7%。gydF4y2Ba

通过相关性理解错误gydF4y2Ba

接下来,我们检查检测事件之间的成对相关性,这为我们提供了关于在错误纠正期间发生的错误类型的细粒度信息。数字gydF4y2Ba2gydF4y2Ba演示了由生成的成对检测的几个示例gydF4y2BaXgydF4y2Ba或gydF4y2BaZgydF4y2Ba表面代码中的错误。测量和复位误差由相同的稳定器在两个连续的周期中检测,我们将其分类为类时对。数据量子位可能会经历一个gydF4y2BaXgydF4y2Ba(gydF4y2BaZgydF4y2Ba)在测量过程中空转时的错误gydF4y2BaZgydF4y2Ba(gydF4y2BaXgydF4y2Ba)稳定剂在同一周期内,形成一对空间状的稳定剂。CZ门期间的错误可能会导致发生各种成对检测,包括在空间和时间上分离的类时空对。时,会出现更复杂的检测事件集群gydF4y2BaYgydF4y2Ba发生错误,为两者生成检测事件gydF4y2BaXgydF4y2Ba而且gydF4y2BaZgydF4y2Ba错误。gydF4y2Ba

为了从我们的数据中估计每个检测事件对的概率,我们计算了一个适当的归一化相关性gydF4y2BapgydF4y2Ba我gydF4y2BajgydF4y2Ba在任意两个检测器上发生的检测事件之间gydF4y2Ba我gydF4y2Ba而且gydF4y2BajgydF4y2Ba(参考文献。gydF4y2Ba42gydF4y2Ba,gydF4y2Ba49gydF4y2Ba;看到gydF4y2Ba补充信息gydF4y2Ba).在无花果。gydF4y2Ba2 hgydF4y2Ba,我们显示了实验和模拟距离-5数据的估计概率,根据不同类别的对进行聚合和平均。除了预期的检测对之外,我们还量化了局部去极化电路模型中意外检测对出现的频率。总的来说,与实验数据相比,泡利模拟系统地低估了这些概率,而泡利+模拟更接近并预测了意外对的存在,我们推测这与泄漏和杂散相互作用有关。这些错误对表层代码尤其有害,因为它们可以生成在空间或时间上相隔很远的多个检测事件,解码器可能会错误地将其解释为多个独立的组件错误。我们预计,随着错误率的降低,减少泄漏和杂散相互作用将变得越来越重要。gydF4y2Ba

解码和逻辑错误概率gydF4y2Ba

我们接下来检查表面代码量子位的逻辑性能。为了推断经过错误校正的逻辑测量,解码器需要物理错误事件的概率模型。该信息可以表示为错误超图:探测器是顶点,物理错误机制是连接它们触发的探测器的超边,并且每个超边都被分配了相应的错误机制概率。我们使用泛化gydF4y2BapgydF4y2Ba我gydF4y2BajgydF4y2Ba来确定这些概率gydF4y2Ba42gydF4y2Ba,gydF4y2Ba50gydF4y2Ba.gydF4y2Ba

对于误差超图,我们实现了两种不同的解码器:信念匹配,信念传播和最小权值完美匹配的有效组合gydF4y2Ba51gydF4y2Ba;张量网络解码,一种缓慢但准确的近似极大似然解码器。信念匹配解码器首先在错误超图上运行信念传播,根据附近的检测事件更新超边缘错误概率gydF4y2Ba51gydF4y2Ba,gydF4y2Ba52gydF4y2Ba.然后将更新后的误差超图分解为一对不相交的误差图,每个误差图为gydF4y2BaXgydF4y2Ba而且gydF4y2BaZgydF4y2Ba错误gydF4y2Ba31gydF4y2Ba.利用最小权值完美匹配对这些图进行有效解码gydF4y2Ba53gydF4y2Ba选择一个单一的可能错误集。gydF4y2Ba

相比之下,最大似然解码器考虑与检测事件一致的所有可能的错误集,根据它们是否翻转逻辑测量将它们分为两组,并选择总似然较大的组。这两个似然分别表示为一个张量网络收缩gydF4y2Ba51gydF4y2Ba,gydF4y2Ba54gydF4y2Ba,gydF4y2Ba55gydF4y2Ba将每组中所有错误集的概率穷尽相加。我们可以近似地收缩网络,并验证近似收敛。这产生了一个解码器,几乎是最优的超图误差先验,但相当慢。进一步的改进可能来自更准确的先验,或者通过合并更细粒度的测量信息gydF4y2Ba47gydF4y2Ba,gydF4y2Ba56gydF4y2Ba.gydF4y2Ba

数字gydF4y2Ba3.gydF4y2Ba展示了使用近似最大似然解码器的距离-3和距离-5码的逻辑错误性能的比较。随着gydF4y2BaZXXZgydF4y2Ba表面代码的变体对称gydF4y2BaXgydF4y2Ba而且gydF4y2BaZgydF4y2Ba碱基,两个碱基每周期的逻辑错误之间的差异很小,可归因于物理错误率的空间变化。因此,为了视觉清晰度,我们报告逻辑错误概率的平均值之间gydF4y2BaXgydF4y2Ba而且gydF4y2BaZgydF4y2Ba基础;完整数据集可参阅补充资料。请注意,我们没有对泄漏或高能事件进行后选择,以捕获现实的非理想性对逻辑性能的影响。在所有的25个错误修正周期中,距离-5码实现了较低的逻辑错误概率gydF4y2BapgydF4y2BalgydF4y2Ba大于子集距离-3码的平均值。gydF4y2Ba

图3:逻辑错误减少。gydF4y2Ba
图3gydF4y2Ba

一个gydF4y2Ba,逻辑错误概率gydF4y2BapgydF4y2BalgydF4y2Ba对比距离-5(蓝色)和距离-3(粉色:四个独立象限,红色:平均值)的周期,均为平均值gydF4y2BaZgydF4y2BalgydF4y2Ba而且gydF4y2BaXgydF4y2BalgydF4y2Ba.每个数据点代表100,000次重复。实线:拟合实验平均值,gydF4y2BatgydF4y2Ba= 3至25(见正文)。虚线:与泡利+模拟的比较。gydF4y2BabgydF4y2Ba逻辑保真度gydF4y2BaFgydF4y2Ba= 1−2gydF4y2BapgydF4y2BalgydF4y2Ba相对于循环,半对数图。数据点和拟合是实验平均值和拟合gydF4y2Ba一个gydF4y2Ba.gydF4y2BacgydF4y2Ba,实验进展总结,比较每个周期的逻辑误差gydF4y2BaεgydF4y2BadgydF4y2Ba(具体为1−gydF4y2BaεgydF4y2BadgydF4y2Ba)在distance-3和distance-5之间,其中系统的改进导致了distance-5的更快改进(见正文)。每个开放的圆是与特定距离-3码的比较,而填充的圆是在同一会话中测量的几个距离-3码的平均值。标记物按时间顺序从浅到深着色。典型的1gydF4y2BaσgydF4y2Ba统计拟合不确定度为0.02%,小于各点。gydF4y2Ba

我们符合逻辑保真度gydF4y2BaFgydF4y2Ba= 1−2gydF4y2BapgydF4y2BalgydF4y2Ba到指数衰减。我们从gydF4y2BatgydF4y2Ba= 3以避免两个有利于大代码的现象:相对于后续周期,第一个周期的检测概率较低(图2)。gydF4y2Ba2 b, dgydF4y2Ba),以及在少周期实验中,由于误差限制在较薄的时间切片而导致的较高有效阈值gydF4y2Ba31gydF4y2Ba.我们每循环得到一个逻辑错误gydF4y2BaεgydF4y2Ba5gydF4y2Ba=(2.914±0.016)% (1gydF4y2BaσgydF4y2Ba统计和拟合不确定性)的距离-5码,与的平均值相比gydF4y2BaεgydF4y2Ba3.gydF4y2Ba子集距离-3码为(3.028±0.023)%,相对误差降低约4%。当使用更快的信念匹配解码器解码时,我们为距离-5码拟合了每个周期的逻辑误差(3.056±0.015)%,而距离-3码的平均逻辑误差为(3.118±0.025)%,相对误差减少了约2%。我们注意到,每个周期的距离-5逻辑错误略高于距离-3代码中的两个单独的逻辑错误,当逻辑错误概率接近50%时,泄漏累积可能会导致距离-5性能比距离-3性能下降得更快。gydF4y2Ba

原则上,随着物理错误率的降低,距离-5码的逻辑性能应该比距离-3码提高得更快gydF4y2Ba33gydF4y2Ba.随着时间的推移,我们提高了物理错误率,例如通过优化单量子比特门和双量子比特门,测量和数据量子比特空转(参见gydF4y2Ba补充信息gydF4y2Ba).在无花果。gydF4y2Ba3 cgydF4y2Ba,我们显示了距离-5和距离-3码的相应性能进展。大代码的改进速度大约是小代码的两倍,直到最终超过了小代码,在实践中验证了增加距离保护的好处。gydF4y2Ba

要了解各个组件对逻辑错误性能的贡献,请参考参考。gydF4y2Ba42gydF4y2Ba并模拟距离-5和距离-3码,同时改变各种电路元件的物理错误率。作为逻辑错误抑制因子gydF4y2Ba

$ ${{\λ}}_ {d / (d + 2)} = {\ varepsilon} _ {d} / {\ varepsilon} _ {d + 2} $ $gydF4y2Ba
(1)gydF4y2Ba

与物理错误率近似成反比,我们可以预算每个物理错误率机制贡献多少1/gydF4y2BaΛgydF4y2Ba3/5gydF4y2Ba(如图。gydF4y2Ba4gydF4y2Ba)以评估规模。该误差预算表明,CZ误差和数据量子位退相干在测量和复位期间是主要贡献者。gydF4y2Ba

图4:算法相关错误率。gydF4y2Ba
图4gydF4y2Ba

一个gydF4y2Ba,基于分量误差的表面代码估计误差预算(图2)。gydF4y2Ba1 cgydF4y2Ba)和Pauli+模拟。gydF4y2BaΛgydF4y2Ba3/5gydF4y2Ba=gydF4y2BaεgydF4y2Ba3.gydF4y2Ba/gydF4y2BaεgydF4y2Ba5gydF4y2Ba.CZ, CZ误差的贡献(不包括泄漏和杂散相互作用)。CZ杂散整型。,CZ error from unwanted interactions. DD, dynamical decoupling (data qubit idle error during measurement and reset). Measure, measurement and reset error. Leakage, leakage during CZs and due to heating. 1Q, single-qubit gate error.bgydF4y2Ba,重复码的逻辑错误。插图:距离-25重复码示意图,使用与距离-5曲面码相同的数据和测量量子位。较小的代码从相同的距离-25数据中进行下采样gydF4y2Ba42gydF4y2Ba.高能事件导致了一个明显的误差下限在10左右gydF4y2Ba−6gydF4y2Ba.在移除附近的实例(浅蓝色)后,错误会随着代码距离的增加而迅速减少。数据集有50个周期,5 × 10gydF4y2Ba5gydF4y2Ba重复。我们还从图中绘制了每个周期的表面编码误差。gydF4y2Ba3 bgydF4y2Ba在黑色的。gydF4y2BacgydF4y2Ba,模拟曲面码每周期逻辑误差等高线图gydF4y2BaεgydF4y2BadgydF4y2Ba作为编码距离的函数gydF4y2BadgydF4y2Ba还有一个比例因子gydF4y2Ba年代gydF4y2Ba的误差模型。gydF4y2Ba1 cgydF4y2Ba(泡利模拟,gydF4y2Ba年代gydF4y2Ba= 1.0对应当前设备错误模型)。gydF4y2BadgydF4y2Ba,水平切片从gydF4y2BacgydF4y2Ba,每个为误差模型比例因子的值gydF4y2Ba年代gydF4y2Ba.gydF4y2Ba年代gydF4y2Ba= 1.3高于阈值(更大的代码更糟糕),并且gydF4y2Ba年代gydF4y2Ba= 1.2到1.0代表交叉状态,对于这种情况,代码越大越好,直到出现转机。gydF4y2Ba年代gydF4y2Ba= 0.9低于阈值(较大的代码更好)。gydF4y2Ba

算法相关的错误率gydF4y2Ba

即使已知的错误源在未来的设备中被抑制,随着逻辑错误率的降低,新的主要错误机制可能会出现。为了测试具有较低错误率的代码的行为,我们使用了位翻转重复代码,这是表面代码的一维版本。位翻转重复码不能校正相位翻转错误,因此不适用于量子算法。然而,仅纠正位翻转错误允许它实现更低的逻辑错误概率。gydF4y2Ba

在没有后期选择的情况下,我们实现了每个周期的逻辑误差为(1.7±0.3)× 10gydF4y2Ba−6gydF4y2Ba使用最小权重完美匹配解码的距离-25重复码(图。gydF4y2Ba4 bgydF4y2Ba).我们将高距离编码中的许多逻辑错误归因于高能撞击,这可以暂时地给系统带来广泛的相关错误gydF4y2Ba57gydF4y2Ba.这些事件可以通过检测事件计数的峰值来识别gydF4y2Ba42gydF4y2Ba,这种错误机制必须得到缓解,才能成功地进行可扩展的量子纠错。在这种情况下,有一个这样的事件;在去除它(0.15%的试验)后,我们观察到每个周期的逻辑误差为(1.6±0.8)× 10gydF4y2Ba−7gydF4y2Ba(见gydF4y2Ba补充信息gydF4y2Ba).重复编码结果表明,在超导系统中,低逻辑错误率是可能的,但发现和减轻高度相关的错误,如宇宙射线撞击,将是未来研究的重要领域。gydF4y2Ba

大规模量子纠错gydF4y2Ba

为了理解我们的表面代码结果如何投射到未来的设备,我们模拟了从距离-3到25的表面代码的逻辑错误性能,同时还缩放了图中所示的物理错误率。gydF4y2Ba1 cgydF4y2Ba.为了提高效率,模拟只考虑泡利误差。数字gydF4y2Ba4 c, dgydF4y2Ba说明了该参数空间的轮廓,该空间具有三个不同的区域。当物理错误率很高时(例如,图中我们的表面代码的初始运行)。gydF4y2Ba3 cgydF4y2Ba),逻辑错误概率随系统规模的增加而增加(gydF4y2BaεgydF4y2BadgydF4y2Ba+ 2gydF4y2Ba>gydF4y2BaεgydF4y2BadgydF4y2Ba).另一方面,较低的物理错误率显示理想的逻辑错误指数抑制(gydF4y2BaεgydF4y2BadgydF4y2Ba+ 2gydF4y2BaεgydF4y2BadgydF4y2Ba).这种阈值行为可能是微妙的gydF4y2Ba58gydF4y2Ba,并且存在交叉现象,由于有限尺寸效应,增加系统尺寸最初会抑制每个周期的逻辑误差,之后才会增加。我们相信我们的实验就在于这个政权。gydF4y2Ba

虽然我们的设备已经接近阈值,但要达到与算法相关的逻辑错误率和可管理的资源将需要一个错误抑制因子gydF4y2BaΛgydF4y2BadgydF4y2Ba/(gydF4y2BadgydF4y2Ba+ 2)gydF4y2Ba≫gydF4y2Ba1。基于图中误差预算和仿真。gydF4y2Ba4gydF4y2Ba,我们估计组件性能必须至少提高20%才能降至阈值以下,并在此基础上大幅提高才能实现实际扩展。然而,这些预测依赖于简化的模型,并且必须经过实验验证,以更长的持续时间测试更大的代码大小,以最终实现所需的逻辑性能。这项工作展示了该过程的第一步,通过扩展量子纠错代码来抑制逻辑错误——这是容错量子计算机的基础。gydF4y2Ba